不许!不许射出来!(h)(4 / 4)

投票推荐 加入书签 留言反馈

  “你知道我想听什么。”
  卓子被刺激的险些哭出来,连带着说话都带了些哭腔。
  “...嗯..江儿”
  音还没断,尾音发颤,卓子浑身一阵痉挛,江令婧察觉她要射了,两手连忙攥住了冠头下圈,着急的喊。
  “哎呀!不许!不许射出来!”
  卓子唔了一声,射不出去,只顺着小孔渗出来点液体,她喘着粗气,没忍住难受的一下子哭了。
  “...呜嗯...太太..太太..难受...”
  江令婧转头看她,小脸通红,捂着脸,可怜巴巴的掉眼泪,她俯下身去亲她的嘴。
  “好了好了,给你射,射到我手里,听到了吗?”
  卓子不说话,捂着脸颤抖着点头。
  没多久,卓子喘息声又变得急促,不自觉弓起腰,操着快碎了声音难耐地喊着江儿。
  江令婧知道她快到了,学着她每次的样子,伸出手掌去接,一股白浊就射进了她手心,大概是被玩久了,颤抖抖的射了好几股。
  卓子缓了好一会儿,支起身,看着江令婧顽皮的捧着满手的白浊冲着自己笑,跟邀功似的,卓子被盯的不好意思,伸手拿了帕子要去给江令婧擦手。
  江令婧勾着嘴角看她,卓子眼眶红红的,轻喘着气,活像一个被欺负惨了的小姑娘,抽着鼻子仔仔细细地给自己擦手。
  真可爱。 ↑返回顶部↑

章节目录